Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by amburose

  1. A

    need ckt diagram for bidirectional buck boost converter

    here i have attached classic bidirectional buck boost onverter...but im try in matlab simulink...they work in boost mode ...but not work in buck mode... kindly give any suggestion
  2. A

    need datasheet for XC2C256 TQ144

    xc2c256-tq144 hi, i need XC2C256 TQ144 datasheet for my board design, wher i can get... Thanks in advance....
  3. A

    help : Boost up voltage without using tansformer

    my source voltage is 4.4V ,300mA and im convert this power to 8V and min 200mA current rating....without using a transformer kindly give some idea
  4. A

    string get from UART in LPC2129

    uart0 in lpc2129 how to external device Transmit string data Recive our UART LPC2129..... kindly reply as soo as possible......
  5. A

    implementing concept of structure in C on FPGA

    in VHDL record datatype......... its same like a structure in C , and VHDL also have pointer...try too..............
  6. A

    JTAG connection ereror in FPGA

    im using spartan 3 series...XC3S400PQ208 then 3.3 supply voltage ..is it enough
  7. A

    JTAG connection ereror in FPGA

    heartlythanks for ur response............ error msg is................ Validating chain... INFO:iMPACT:1205 - Testing for '1' at position 5. The Instruction capture of the device 1 does not match expected capture. INFO:iMPACT:1206 - Instruction Capture = '000000' INFO:iMPACT:1207 - Expected...
  8. A

    JTAG connection ereror in FPGA

    jtag initial voltage hi , i got error message when connecting Jtag cable to FPGA.... in my board i got jtag pin initial voltage VCC- 3.22 V GND- 0 V TCK- 2.5 V TDO- 0.8 V TDI- 2.5 V TMS- 0.8 V is it acceptable.............. kindly reply as soon as...
  9. A

    Problem related to Jtag VCC

    hi, im my PCB board Jtag pin im recive only 3.22 voltage, but when im connected to xilinix ISE impact its not be connected and the shows the error check the cable power supply..... 3.22 voltage acceptable for Jtag....... kindly reply as soom as possible..........
  10. A

    Looking for info about memory arbiter

    is anybody know the concept of memory arbiter or related document(web link)..... kindly share with me... thanks once again hearing from you......
  11. A

    Looking for free-lance DC-DC expert to work in Belgium

    yes sir...i have experince in DC-DC converter design in software part.. we design a buck converter using fuzzy PID ................
  12. A

    Automobile company in INDIA

    In india .............is there any automobile company relative to VLSI field... specially for Fuzzy logic and Neural Network...............
  13. A

    intialization in VHDL

    No warnings...... the code is here...but i got same problem.... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity last is Port ( a : in std_logic_vector(31 downto 0); k : in std_logic_vector(31 downto 0)...
  14. A

    intialization in VHDL

    i have problem when i am intialize the value in the entity part... synthesis and simulation is right ...but real time i got different result from simulation.... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity last is Port...
  15. A

    Need excitation circuit for ultrasound transducer

    hi , i am using ultrasound transducer for my project.The operating ranges are 12v,100to 200mAand 2 Mhz.I am using pic 16F877a to genarate the desired frequency.I need the Excitation circuit for This transducer

Part and Inventory Search

Back
Top