Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
here i have attached classic bidirectional buck boost onverter...but im try in matlab simulink...they work in boost mode ...but not work in buck mode...
kindly give any suggestion
heartlythanks for ur response............
error msg is................
Validating chain...
INFO:iMPACT:1205 - Testing for '1' at position 5. The Instruction capture of the device 1 does not match expected capture.
INFO:iMPACT:1206 - Instruction Capture = '000000'
INFO:iMPACT:1207 - Expected...
jtag initial voltage
hi ,
i got error message when connecting Jtag cable to FPGA....
in my board i got jtag pin initial voltage
VCC- 3.22 V
GND- 0 V
TCK- 2.5 V
TDO- 0.8 V
TDI- 2.5 V
TMS- 0.8 V
is it acceptable..............
kindly reply as soon as...
hi,
im my PCB board Jtag pin im recive only 3.22 voltage,
but when im connected to xilinix ISE impact its not be connected and the shows the error
check the cable power supply.....
3.22 voltage acceptable for Jtag.......
kindly reply as soom as possible..........
No warnings......
the code is here...but i got same problem....
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity last is
Port ( a : in std_logic_vector(31 downto 0);
k : in std_logic_vector(31 downto 0)...
i have problem when i am intialize the value in the entity part...
synthesis and simulation is right ...but real time i got different result from simulation....
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity last is
Port...
hi ,
i am using ultrasound transducer for my project.The operating ranges are 12v,100to 200mAand 2 Mhz.I am using pic 16F877a to genarate the desired frequency.I need the Excitation circuit for This transducer
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.