Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Alex_lynatik_

  1. A

    Problem with VHDL code to show symbol on LCD (Spartan 3E)

    lcd spartan3e Thank you for helping. I find a code on VHDL for LCD. But I don't find a code on VHDL for ADC. I must done a votmeter on PLIS. Here is a code for LCD : **broken link removed**
  2. A

    Problem with VHDL code to show symbol on LCD (Spartan 3E)

    I have bought your product Spartan-3E Starter Kit Board. I am trying to show the symbol on LCD Screen. But I have not result. I cann't understand wherein problem. Please, help me. If you can send me the snip of code on VHDL how do it? Thank you!

Part and Inventory Search

Back
Top