Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ajapyy

  1. A

    Design for 50VDC to 3.3VDC converter

    use 2 resistor and bring source voltage level to low level such 5VDC. and then use regulator like LM317, lf33, lm1117-3.3 or any regulator that do same work.
  2. A

    [SOLVED] VHDL signal assigment problem ?

    it could be better if you help me , even don't want to say the answer. but you can say idea that help me and I do rest of it. internet forums are for this purpose not for grandpa advises answers ! anyway I solve it.
  3. A

    [SOLVED] VHDL signal assigment problem ?

    No, i don't synthesizabe code, just a code for simulate.
  4. A

    [SOLVED] VHDL signal assigment problem ?

    this is my question : We can use a signal assignment statement with inertial delay to remove pulses that are smaller than a certain width. Using only signal assignment statements, write a code fragment for removing positive pulses that are greater than a certain width. Thanks eveybody :)
  5. A

    EMG/NCV amplifier circuit

    anybody don't have idea ?
  6. A

    EMG/NCV amplifier circuit

    hi 2 everyone i'm newbie in this site.. :) I search in internet for my project in university which is build emg/ncv machine. but there is no more data about machine circuits. furthermore, anyone can help me ? any data about machine circuits or other data ? with special thanks ;)
  7. A

    ecg algorithm compression in matlab code

    hi 2 all. i need for some ecg algorithm compression in matlab code, and want to you, if you have any method in this article please send me or upload in this site or every where. wavelet , aztec , tp , ladt , fan or every method. thanks for your helps.
  8. A

    help for lcd 2x16 with vhdl in fpga

    someone have Vhdl code for lcd and use character with FPGA? I just started working with FPGA and I'm still good.
  9. A

    ecg data compression with ladt algorithm

    no one haven't idea ?
  10. A

    ecg data compression with ladt algorithm

    hi 2 every one. my proposal in university is ecg data compression with ladt algorithm , but i have problem with it. is in this forum body to help me ? for example in matlab coding or in implemantion with fpga or every idea ? thank you.

Part and Inventory Search

Back
Top