Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by afzaalabbasi

  1. A

    cc2500 Rf transciver interfacing with Spartan 3 Fpga

    can anyone have small example of configuration of Any RF Module in verilog???
  2. A

    cc2500 Rf transciver interfacing with Spartan 3 Fpga

    i have to load many register values as per required to load the transceiver in transmitter mode or receiver mode how should i go for state machine approach when i have to send the values in the simple way that is just send the register address and then its value then how could i do this with...
  3. A

    cc2500 Rf transciver interfacing with Spartan 3 Fpga

    i i want to configure registers of (Quasar UK ‘TRX1’ hybrid transceiver) module in verilog to use it as transceiver.i have included the header files in my verilog code.Now the next step is to load all registers values in the module in initial block in verilog. Fpga act as master and transceiver...
  4. A

    cc2500 Rf transciver interfacing with Spartan 3 Fpga

    i want to interface cc2500 wirless module directly with fpga i have included the registers configration files(.h files) exported from Smart RF Studio in verilog now further i am stuck soo please i need your help.....

Part and Inventory Search

Back
Top