Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by adina.manole

  1. A

    LUT in VHDL is getting too many resources

    Re: LUT in VHDL is getting to many ressources The LUT are made by me in VHDL as follows: if (RESET_N = '0') then lut_output <= (others => '0'); elsif rising_edge(mclk) then lut_output <= lut_data(to_integer(unsigned(lut_input))); end if...
  2. A

    LUT in VHDL is getting too many resources

    LUT in VHDL is getting to many ressources Hi, I'm making a project where I need to use 4 different LUT. My FPGA is a Microsemi and I'm coding in Libero. They have two by two the same input for the LUT (LUT1 and LUT2 has the same input and LUT3 and LUT4 have a different same input). LUT1 and...

Part and Inventory Search

Back
Top