Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Adam Hussey

  1. A

    [SOLVED] inout port with value "UUUU.."

    Sorry I think I posted the older code! lol I had already fixed that awhile back. Thanks
  2. A

    [SOLVED] inout port with value "UUUU.."

    Re: inout port with value &quot;UUUU..&quot; Sorry I just didn't include the code where the PW was used, but it does in fact have quite an important use begin if (Reset /= '0') then PW <= "00000000000000000000000000000000"; --HERE -- PC <= "00000000000000000000000000000000"...
  3. A

    [SOLVED] inout port with value "UUUU.."

    I'm not sure what you mean here...there are no inouts in that process. This is due today so I really need to get this ALU working. Thanks
  4. A

    [SOLVED] inout port with value "UUUU.."

    Ok, thanks I have fixed the PC and Regfile (Progress!). As far as those signals go, yes, I just haven't gotten to implement those yet. The last problem comes from trying to write to the ALU (A & B) entity MCProc is port (PC: out std_logic_vector (31 downto 0); PW: inout std_logic_vector (31...
  5. A

    [SOLVED] inout port with value "UUUU.."

    Thank you. No disrespect, but the reason I started this topic was for help on why it doesn't work. If I knew at all how to debug this, I wouldn't be here. Please, if you see the problem, let me know.
  6. A

    [SOLVED] inout port with value "UUUU.."

    Yes it was so bad that people would get a passing grade if their code compiled successfully. You guys did not mention (I don't think) about async vs syn reset processes.... Based off of this post , does this code work? if (Reset /= '0') then PW <= "00000000000000000000000000000000"...
  7. A

    [SOLVED] inout port with value "UUUU.."

    I agree, the professor should probably lose his job. I literally needed to learn it on the fly throughout the semester
  8. A

    [SOLVED] inout port with value "UUUU.."

    With all respect, this isn't a class about learning vhdl, or even a class where knowledge of vhdl is a prerequisite. I understand that your patience are running out, but try to understand where I'm coming from. Everything that you said needs changing I have changed as far as I'm concerned...
  9. A

    [SOLVED] inout port with value "UUUU.."

    Ok, so I believe I have the correct implementation now.. P_Fetch: Process (CLK) begin if (Reset /= '0') then PW <= "00000000000000000000000000000000"; --Set some initial register values here for simplicity & demonstration. -- PC <= "00000000000000000000000000000000"; Regfile(0)...
  10. A

    [SOLVED] inout port with value "UUUU.."

    Does this mean remove the "reset ='0' " ? I tried changing to that and I still can't seem to change the ALU's A and B values, nor the PC's values.
  11. A

    [SOLVED] inout port with value "UUUU.."

    To be honest, I didn't realize the process sensitivity list had to have certain values that were used. I'll try to explain my reasoning. Basically I wanted the decode stage to be active whenever the PC was updated with a new instruction. Same for the execute stage, I want that to be used when an...
  12. A

    [SOLVED] inout port with value "UUUU.."

    Re: inout port with value &quot;UUUU..&quot; Could you elaborate on that? how are the sensitivity lists 'incorrect' ? I understand that it will not compile for real hardware, and if possible, ignore that for now. The primary objective here is to get code that simulates and will get me a good...
  13. A

    [SOLVED] inout port with value "UUUU.."

    Sorry I thought this was solved, but even after I made the PC an 'out', I still can't even assign values to it. PW <= Memory(CONV_INTEGER(s_PC)); s_PC <= s_PC + 1; PC <= s_PC; decodeReady <= '1', '0' after 5 ps; end Process; P_Decode: Process(s_PC) begin If reset='0' and...
  14. A

    [SOLVED] inout port with value "UUUU.."

    begin if (Reset /= '0') then PW <= "00000000000000000000000000000000"; --Set some initial register values here for simplicity & demonstration. -- PC <= "00000000000000000000000000000000"; Regfile(0) <="00000000000000000000000000000000"; --Register 0 gets the value '0' Regfile(1)...
  15. A

    [SOLVED] inout port with value "UUUU.."

    I'm actually not using that package anymore, does this mean I need a new way to add the '+ 1' ?

Part and Inventory Search

Back
Top