Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Abhijith Yadav

  1. A

    Viterbi Decoding Help

    i did not test bench it.. because i dunnno how to do it. i will post the simulation results though..( vector wavefrorm file)
  2. A

    Viterbi Decoding Help

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity viterbi_count is port (enc_data:in std_logic_vector(1 downto 0); dec_data:out std_logic_vector(7 downto 0); test :out std_logic_vector(7 downto 0); clock : in...
  3. A

    Viterbi Decoding Error

    thanks for the help.... I will get back to you if any prblems occur
  4. A

    Viterbi Decoding Error

    so can i configure my fpga with the downloadable version of altera.... or do i need to buy it to be able to do tht?
  5. A

    Decreasing macrocells in the vhdl code

    dont know what these macrocells are tricky... But im using epm7160slc84-10 from altera.... Barry- ya i changed and merged both the processes... after i posted it here that is(trickydicky, please make note) and changed all the signals to variables... Any change in your replies? Thanks in advance
  6. A

    Decreasing macrocells in the vhdl code

    Says it needs 276 macrocells and 176 shareable expanders.... Can u please help me reduce these two to 160 each? [CODE] library ieee; library work; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use work.data_packages.all; entity Viterbi_new is port...
  7. A

    Viterbi Decoding Error

    mine is altera 7160slc.... should i stick to my version or is better one preferred... please post link for the download page, and please answer my other question , "Are u talking about the simulator, i mean vector waveform file? In that case, I dop know what tht is and have tried it for the...
  8. A

    Viterbi Decoding Error

    Are u talking about the simulator, i mean vector waveform file? In that case, I dop know what tht is and have tried it for the program... But to no avail - - - Updated - - - Also, is it possible to get a newer version of quartus. I mean for free..... And if i do, will I get to configure a FPGA...
  9. A

    Viterbi Decoding Error

    i dont know how to test bench.. can u please tell me how to do tht
  10. A

    Viterbi Decoding Error

    the following is the code i wrote afresh for the same decoding scheme..... library ieee; library work; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.data_packages.all; entity Viterbi_new is port (clock: in std_logic; --ct: buffer integer range 0 to 16...
  11. A

    Viterbi Decoding Error

    how do i use packages for the task... can i include all the functions i need in the same package? Also, if i write different components one after the other in the viterbi_decode WITHOUT a loop or process, will they execute iteratively (or simply,loop after completing the last component in order)...
  12. A

    Viterbi Decoding Error

    Hey people, I am working on viterbi decoding in vhdl. I am using altera quartusII 6.0. I am posting the code and the problem, please help me rectifyy utlibrary ieee; library work; use ieee.std_logic_unsigned.all; use ieee.std_logic_1164.all; use work.data_packages.all; entity...
  13. A

    [SOLVED] Vias and two layer board design

    Do we have to solder the components on both the sides to maintain connectivity across the vias
  14. A

    [SOLVED] Vias and two layer board design

    How do we make connections across two layers using vias?

Part and Inventory Search

Back
Top