Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by 5life

  1. 5

    verilog testbench book

    In ebooks forum, there are many books ,for example "Writing Testbench ".
  2. 5

    Question about 2-bit variable in Verilog

    Question on verilog Agree with rberek! Take care of it , coding style is a good thing.
  3. 5

    Looking for two verification books

    Re: verification book? Writing Testbench - Functional Verification of HDL Models(2nd) This is 2nd edition. But just chapter1-5, scanned
  4. 5

    Looking for books on Verilog PLI

    verilog pli haha . Kidding. Use vera is sutable for every level verification? everywhere?
  5. 5

    Looking for two verification books

    verification book? Ten Verification Books: 1. Advanced.Formal.Verification 2. Functional.Verification.Coverage.Measurement.and.Analysis 3. Professional.Verification 4. verification_methodology_manual 5. Design Verification With E 6. Principles of Verifiable RTL Design(First...
  6. 5

    What does Code Coverage mean ?

    In HDLSCORE: code coverage is listed below 1. Block coverage. which is symbolic by begin ... end implicitly or explicitly 2. Expression coverage. 3. Path coverage 4. Toggle coverage 5. FSM coverage Hope it's useful to u.
  7. 5

    How to check the Technology in Synopsys DC?

    I think the command "report_lib" is ok
  8. 5

    When does DC optimize the addera operator?

    We know that the adder is inferred by elaborate command . And I wonder when the adder's optimization based on the design constraints performs , elaborate or compile process?
  9. 5

    How to check the script errors?

    As we know , The syntax error can be checked by the command: dc_shell -f script.scr -syntax_check Question is : The command must be performed during startup of dc_shell ? Can I check the syntax errors in the dc_shell mode ?
  10. 5

    What's the difference of three .synopsys_dc.setup?

    My last .synopsys_dc.setup file is coded by dc_shell
  11. 5

    What's the difference of three .synopsys_dc.setup?

    synopsys_dc.setup tcl dc_shell used. I copy the $SYNOPSYS/admin/setup/.synopsys_dc.setup to my working directory , And I modified the search_path ,target_library,link_library,symbol.library. And the above appears. And I create a new .synopsys_dc.setup in my home directory, as below...
  12. 5

    What's the difference of three .synopsys_dc.setup?

    synopsys_dc.setup Error : Can't read Tcl File /multiplex/.synopsys_dc.setup in your working directory because you read the defaut format in home init. What's the matter ? And what's the diffrence among the 3 .synopsys_dc.setup? Another Error: Undefined operator on or near line at or near...
  13. 5

    Who read "digital integrated circuit - a design perspec

    I feel this book is very useful for me. But I have some sentences which I don't understand. Somebody has readed the book , could u explain those sentences to me? for example: P189while keeping the transistor thresholds at the same level What's the mean of "same level", I think it's...
  14. 5

    How to configurate a Xilinx Device With A MCU and Flash?

    My design : 1 MCU gets the configuration data from PC,and puts it into the serial Flash 2 When there is no pc , the flash ouputs the conifiguration data to the fpga Notes: there are two interface : 1 RS-232 serial Port 2 FPGA serial configuration port Is it right ? The diagram is in the...
  15. 5

    How to configurate a Xilinx Device With A MCU and Flash?

    I get a diagram which shows the configuration with 8-pin mcu and 8-pin flash . I didn't know how it works and if it is good design. Have a look and explain it in details ,Thanks.

Part and Inventory Search

Back
Top